Number of the records: 1  

Computing Platforms for Software-Defined Radio

  1. Title statementComputing Platforms for Software-Defined Radio [electronic resource] / edited by Waqar Hussain, Jari Nurmi, Jouni Isoaho, Fabio Garzia.
    PublicationCham : Springer International Publishing : Imprint: Springer, 2017.
    Phys.des.XII, 240 p. 19 illus., 9 illus. in color. online resource.
    ISBN9783319496795
    ContentsChapter1. The Evolution of Software Defined Radio - An Introduction -- Part I: Architectures, Designs and Implementations -- Chapter2. Design Transformation from a Single-Core to a Multi-Core Architecture targeting Massively-Parallel Signal Processing Algorithms -- Chapter3. The CoreVA-MPSoC - A Multiprocessor Platform for Software-Defined Radio -- Chapter4. Design and Implementation of IEEE 802.11a/g Receiver Blocks on a Coarse-Grained Reconfigurable Array -- Chapter5. Reconfigurable Multiprocessor Systems-on-Chip -- Chapter6. NineSilica: A Homogeneous MPSoC approach for SDR platforms -- Part II: Software-based Radio Cognition and Implementation Tools -- Chapter7. Application of the Scalable Communications Core as an SDR Baseband -- Chapter8. HW/SW Co-Design Toolset for Customization of Exposed Datapath Processors -- Chapter9. FPGA-based Cognitive Radio Platform with Reconfigurable Front-End and Antenna -- Chapter10. Synchronization in NC-OFDM-Based CR Platforms -- Chapter11. Towards Adaptive Cryptography and Security with Software Defined Platforms -- Chapter12. The Future of Software-Defined Radio-Recommendations.
    Notes to AvailabilityPřístup pouze pro oprávněné uživatele
    Another responsib. Hussain, Waqar.
    Nurmi, Jari.
    Isoaho, Jouni.
    Garzia, Fabio.
    Another responsib. SpringerLink (Online service)
    Subj. Headings Engineering. * Microprocessors. * Electronic circuits.
    Form, Genre elektronické knihy electronic books
    CountryNěmecko
    Languageangličtina
    Document kindElectronic books
    URLPlný text pro studenty a zaměstnance UPOL
    book

    book


    This book addresses Software-Defined Radio (SDR) baseband processing from the computer architecture point of view, providing a detailed exploration of different computing platforms by classifying different approaches, highlighting the common features related to SDR requirements and by showing pros and cons of the proposed solutions.  Coverage includes architectures exploiting parallelism by extending single-processor environment (such as VLIW, SIMD, TTA approaches), multi-core platforms distributing the computation to either a homogeneous array or a set of specialized heterogeneous processors, and architectures exploiting fine-grained, coarse-grained, or hybrid reconfigurability. Describes a computer engineering approach to SDR baseband processing hardware; Discusses implementation of numerous compute-intensive signal processing algorithms on single and multicore platforms; Enables deep understanding of optimization techniques related to power and energy consumption of multicore platforms using several basic and high-level performance indicators; Includes prototyping details of single and multicore platforms on ASICs and FPGAs.

    Chapter1. The Evolution of Software Defined Radio - An Introduction -- Part I: Architectures, Designs and Implementations -- Chapter2. Design Transformation from a Single-Core to a Multi-Core Architecture targeting Massively-Parallel Signal Processing Algorithms -- Chapter3. The CoreVA-MPSoC - A Multiprocessor Platform for Software-Defined Radio -- Chapter4. Design and Implementation of IEEE 802.11a/g Receiver Blocks on a Coarse-Grained Reconfigurable Array -- Chapter5. Reconfigurable Multiprocessor Systems-on-Chip -- Chapter6. NineSilica: A Homogeneous MPSoC approach for SDR platforms -- Part II: Software-based Radio Cognition and Implementation Tools -- Chapter7. Application of the Scalable Communications Core as an SDR Baseband -- Chapter8. HW/SW Co-Design Toolset for Customization of Exposed Datapath Processors -- Chapter9. FPGA-based Cognitive Radio Platform with Reconfigurable Front-End and Antenna -- Chapter10. Synchronization in NC-OFDM-Based CR Platforms -- Chapter11. Towards Adaptive Cryptography and Security with Software Defined Platforms -- Chapter12. The Future of Software-Defined Radio-Recommendations.

Number of the records: 1  

  This site uses cookies to make them easier to browse. Learn more about how we use cookies.